当前位置:首页 > EDA > 电子设计自动化
[导读]Verilog HDL的历史和进展 1.什么是Verilog HDLVerilog HDL是硬件描述语言的一种,用于数字电子系统设计。它允许设计者用它来进行各种级别的逻辑设计,可以用它进行数字逻辑系统的仿真验证、时序分析、逻辑综合。它是

Verilog HDL的历史和进展

1.什么是Verilog HDL

Verilog HDL是硬件描述语言的一种,用于数字电子系统设计。它允许设计者用它来进行各种级别的逻辑设计,可以用它进行数字逻辑系统的仿真验证、时序分析、逻辑综合。它是目前应用最广泛的一种硬件描述语言之一。

2.Verilog HDL的历史

Verilog HDL是在1983年由GDA(GateWay Design Automation)公司的Phil Moorby首创的。Phil Moorby后来成为Verilog-XL的主要设计者和Cadence公司(Cadence Design System)的第一个合伙人。

在1984年~1985年,Moorby设计出了第一个关于Verilog-XL的仿真器,1986年,他对Verilog HDL的发展又做出了另一个巨大贡献:即提出了用于快速门级仿真的XL算法。

随着Verilog-XL算法的成功,Verilog HDL语言得到迅速发展。1989年,Cadence公司收购了GDA公司,Verilog HDL语言成为Cadence公司的私有财产。1990年,Cadence公司决定公开Verilog HDL语言,于是成立了OVI(Open Verilog International)组织来负责Verilog HDL语言的发展。

3.Verilog HDL的进展

基于Verilog HDL的优越性,IEEE于1995年制定了Verilog HDL的IEEE标准,即Verilog HDL1364-1995。其后,又在2001年发布了Verilog HDL1364-2001标准。

据有关文献报道,目前在美国使用Verilog HDL进行设计的工程师大约有60000人,全美国有200多所大学教授用Verilog硬件描述语言的设计方法。在我国台湾地区几乎所有著名大学的电子和计算机工程系都讲授Verilog有关的课程。

VHDL和Verilog HDL语言对比

Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL则在1995年才正式成为IEEE标准。

之所以VHDL比Verilog HDL早成为IEEE标准,这是因为VHDL是美国军方组织开发的,而Verilog HDL 则是从一个普通的民间公司的私有财产转化而来。

VHDL其英文全名为VHSIC Hardware Description Language,而VHSIC则是Very High Speed Integrated Circuit的缩写,意为甚高速集成电路,故VHDL其准确的中文译名为甚高速集成电路的硬件描述语言。

1.共同点

Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同的特点在于。

能形式化地抽象表示电路的结构和行为。

支持逻辑设计中层次与领域的描述。

可借用高级语言的精巧结构来简化电路的描述。

具有电路仿真与验证机制以保证设计的正确性。

支持电路描述由高层到低层的综合转换。

硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)。

便于文档管理,易于理解和设计重用。

2.不同点

但是Verilog HDL和VHDL又各有其自己的特点。

由于Verilog HDL早在1983年就已推出,因而Verilog HDL拥有更广泛的设计群体,成熟的资源也远比VHDL丰富。

与VHDL相比,Verilog HDL的最大优点是:它是一种非常容易掌握的硬件描述语言,只要有C语言的编程基础,通过二十学时的学习,再加上一段时间的实际操作,可在二~三个月内掌握这种设计技术。

而掌握VHDL设计技术就比较困难。这是因为VHDL不很直观,需要有Ada编程基础。

目前版本的Verilog HDL和VHDL在行为级抽象建模的覆盖范围方面也有所不同。一般认为Verilog HDL在系统级抽象方面比VHDL略差一些,而在门级开关电路描述方面比VHDL强得多。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

在数字电路和系统设计的领域中,Verilog HDL(硬件描述语言)凭借其强大的描述和建模能力,成为了工程师们不可或缺的工具。Verilog HDL是一种用于描述电子系统,特别是数字系统设计和模拟的文本形式的语言。本文将...

关键字: Verilog HDL 硬件描述语言

“验证很多人都清楚,验证技术一直在发展,个人技术成长不进则退。于是采用最新的验证方法和趋势是很多验证牛人趋之若鹜的事情。一旦验证大佬尝试了某个事情,可能很快就会在团队传播起来,这就是偶像效应。这时候,这项技术仿佛就是经过...

关键字: BUG EDA VERILOG 编程语言

来源:射频百花谭规范很重要工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的...

关键字: VERILOG 时钟 计数器 仿真验证

谈起封闭,恐怕任天堂比苹果有过之而无不及,而且,任天堂法务部的名号也是业内出了名的狠角色。 据外媒报道,Wii游戏主机的软件源代码以及硬件设计文档在网络上泄露,包括Verilog文件、固件源代码、PC

关键字: 源代码 黑客 NI VERILOG

关注、星标公众号,不错过精彩内容 转自:EDN电子技术设计 FPGA 是一堆晶体管,你可以把它们连接(wire up)起来做出任何你想要的电路。它就像一个纳米级面包板。使用 FPGA 就像芯片流片,但是你只需要买这一张芯...

关键字: FPGA GPU VERILOG RTL

EDA技术己成为现代系统设计和电子产品研制开发的有效工具,成为电子工程师应具备的基本能力,介绍EDA技术,可编程逻辑器件和硬件描述语言的基本概念,着重分析EDA技术的发展历程、主要内容和数字系统层次化设计方法及应用展望等...

关键字: 硬件描述语言 eda技术可编程逻辑器件 层次化设计方法

EDA是电子设计自动化(Electronic Design Automation)的缩写。它是一门正在高速发展的新技术,是以大规模可编程逻辑器件为设计载体以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程...

关键字: EDA技术 硬件描述语言 cpld/fpga开发

一、 嵌入式系统设计方法变化的背景嵌入式系统设计方法的演化总的来说是因为应用需求的牵引和IT技术的推动。1 随着微电子技术的不断创新和发展,大规模集成电路的集成度和工

关键字: ip内核 单片系统 嵌入式开发 硬件描述语言

摘要:空间矢量脉宽调制算法是电压型逆变器控制方面的研究热点,广泛应用于三相电力系统中。基于硬件的FPGA/CPLD芯片能满足该算法对处理速度、实时性、可靠性较高的要求,本文利用Verilog HDL实现空间矢量脉宽调制...

关键字: HDL VERILOG 仿真 SVPWM算法

随着信号处理技术及集成电路制造工艺的不断发展,全数字化SPWM(正弦脉宽调制)算法在调速领域越来越受到青睐。实现SPWM控制算法的方法很多,其中模拟比较法因电路复杂、且不

关键字: HDL SPWM VERILOG 全数字
关闭
关闭