当前位置:首页 > 嵌入式 > 嵌入式硬件

摘要:介绍了一种用vhdl设计usb2.0功能控制器的方法,详术了其原理和设计思想,并在fpga上予以实现。 关键词:usb vhdl fpga在视频存储和图像宽带领域中,经常遇到实时高速数据传输的要求。2000年4月,由intel、microsoft、nec、compaq、lucent、phillips等公司共同制订的usb2.0(universal serial bus)传输协议,其速度远远超过了目前使用ieee1394接口进行视频传输的400mbps,达到了480mbps;而且具有即插即用的pnp(plug and play)、可进行菊花链式的级联(通过usb hub进行外围扩展)、可串连多达127个usb设备等优点。应用该协议可支持实时语音、音频和视频数据的传输。本文针对高速数据传输需求,根据usb2.0的协议规范,利用vhdl语言实现符合该协议的功能控制器,在视频压解系统中使数据在pc与外设之间高速传输。如图1所示由视频a/d采集的原始视频数据,在philips公司生产的tm1300专用视频处理器中压缩后,通过usb控制器送至pc机。pc机的整个通过usb控制器传输到tm1300,解压后发送至视频d/a。1 控制器结构原理usb2.0控制器结构框图如图2所示。控制器主要由两个部分组成,其一为与外设的接口,另一个是内部协议层逻辑pl(protocol layer)。内部存储器仲裁器实现对内部dma和外部总线对存储器访问之间的仲裁。pl则实现usb的数据i/o和控制。接口有三种:一种是与微控制器之间的功能接口;一种是与单口同步静态存储器(ssram)之间的接口;另外一种是与物理层之间的接口。这里符合utmi(usb transceiver macrocell interface)规范定义。

2 控制器实现控制器接口的信号框图如图3所示。存储器采用标准的单口sram,其信号接口由32位数据线sram_data、15位地址线sram_addr及读写信号(sram_we和sram_rd)组成,系统所需sram的容量为2 15×32bit=128kb。而与微控制器之间的接口信号包括32位数据线data、18位地址线addr以及dma请求和响应信号(dma_req和dma_ack)。由于要支持到128kb,需要17位地址线,另外还需要一根地址线来选通ssram和usb控制器内部的寄存器,总共需要18根地址线addr[17:0]。定义如下:usb_rf_sel <= !addr[17];usb_mem_sel <=addr[17];第18位地址addr[17]为高时选择缓冲存储器,否则选择内部寄存器。地址addr[16:2]直接用于存储器ssram的地址。2.1 utmi接口utmi接口信号包括:与发送数据相关的信号(txvalid、txready等),与接收数据相关的信号(rxactive、rxvalid、rxerror等)以及16位双向数据线。

在物理层,该控制器需要一个外部的usb收发器(transceiver),本文采用的是philips公司的isp1501芯片。该芯片用作usb2.0的模拟前端,从usb电缆来的差分信号进行反转不归零码(nrzi)解码和位解填充转换成16位并行数据;反之,16位并行数据通过一个差分驱动电路经过串行化、位填充和nrzi编码输出到usb电缆上。isp1501通过管脚mode0和mode1决定收发器的工作模式,共有4种工作模式:mode[1:0]为“00”时,收发器处于断开状态;为“01”时处于全速(full speed)模式(此时usb带宽为12mb/s);为“10”时是高速(high speed)模式(此时usb最大带宽是480mb/s);为“11”时是hs chirp模式。utmi接口通过译码mode[1:0]来控制isp1501在hs和fs之间转变。if mode_hs='1'thenmode<='10'elsif mode_hs='0'thenmode<='01'end if;2.2 协议层控制器的核心逻辑位于pl(protocl layer)模块,负责

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

9月2日消息,不造车的华为或将催生出更大的独角兽公司,随着阿维塔和赛力斯的入局,华为引望愈发显得引人瞩目。

关键字: 阿维塔 塞力斯 华为

加利福尼亚州圣克拉拉县2024年8月30日 /美通社/ -- 数字化转型技术解决方案公司Trianz今天宣布,该公司与Amazon Web Services (AWS)签订了...

关键字: AWS AN BSP 数字化

伦敦2024年8月29日 /美通社/ -- 英国汽车技术公司SODA.Auto推出其旗舰产品SODA V,这是全球首款涵盖汽车工程师从创意到认证的所有需求的工具,可用于创建软件定义汽车。 SODA V工具的开发耗时1.5...

关键字: 汽车 人工智能 智能驱动 BSP

北京2024年8月28日 /美通社/ -- 越来越多用户希望企业业务能7×24不间断运行,同时企业却面临越来越多业务中断的风险,如企业系统复杂性的增加,频繁的功能更新和发布等。如何确保业务连续性,提升韧性,成...

关键字: 亚马逊 解密 控制平面 BSP

8月30日消息,据媒体报道,腾讯和网易近期正在缩减他们对日本游戏市场的投资。

关键字: 腾讯 编码器 CPU

8月28日消息,今天上午,2024中国国际大数据产业博览会开幕式在贵阳举行,华为董事、质量流程IT总裁陶景文发表了演讲。

关键字: 华为 12nm EDA 半导体

8月28日消息,在2024中国国际大数据产业博览会上,华为常务董事、华为云CEO张平安发表演讲称,数字世界的话语权最终是由生态的繁荣决定的。

关键字: 华为 12nm 手机 卫星通信

要点: 有效应对环境变化,经营业绩稳中有升 落实提质增效举措,毛利润率延续升势 战略布局成效显著,战新业务引领增长 以科技创新为引领,提升企业核心竞争力 坚持高质量发展策略,塑强核心竞争优势...

关键字: 通信 BSP 电信运营商 数字经济

北京2024年8月27日 /美通社/ -- 8月21日,由中央广播电视总台与中国电影电视技术学会联合牵头组建的NVI技术创新联盟在BIRTV2024超高清全产业链发展研讨会上宣布正式成立。 活动现场 NVI技术创新联...

关键字: VI 传输协议 音频 BSP

北京2024年8月27日 /美通社/ -- 在8月23日举办的2024年长三角生态绿色一体化发展示范区联合招商会上,软通动力信息技术(集团)股份有限公司(以下简称"软通动力")与长三角投资(上海)有限...

关键字: BSP 信息技术
关闭
关闭