当前位置:首页 > 嵌入式 > 嵌入式教程
[导读]探究最佳的结构化ASIC设计方法

由于与深亚微米标准单元ASIC相关的非重复性工程费用(NRE)越来越大,设计周期又很长,因此利用结构化ASIC进行定制IC设计的吸引力正变得越来越大。结构化ASIC能以极具竞争力的单位成本提供优秀的硅片性能,并且NRE费用极低。结

构化ASIC的多样性意味着它即可以用作系统主芯片,也可以用作高性价比的小型辅助芯片。

许多物理设计问题在结构化ASIC的片设计中已经得到解决,因此后端版图设计的时间可以大大缩短,从而导致更快的验证确认和原型提供。不过ASIC片具有预定义的结构,因此设计师必须合理安排芯片资源才能获得理想的性能。

FPGA是ASIC的另外一个替代品,它们一般基于查找表和可配置的逻辑单元。与相应的ASIC技术相比,FPGA的面积效率较低,功耗较大。当年产量超过5000片时,使用结构化ASIC通常具有更好的性价比。当然,FPGA在许多应用领域中仍是理想的原型设计工具,可以提供快速周转和较低的前端成本。

如果采用FPGA做设计原型,那么规划好向所选结构化ASIC的移植非常重要。最好是尽早采用FPGA和ASIC库做协同开发。不过即使不这样做,少许的规划努力也能使移植工作更加顺利。

建议

采用可以避免失配的综合工具策略。通常设计师可以使用他们选择的前端环境将设计转换成供应商网表或寄存器转移级的HDL描述;但FPGA综合和ASIC综合很可能使用不同的工具或同一工具的不同版本。利用代码检查器和‘最小公分母’编程风格可以避免结果的失配。这样也可确保不同的工具不会对RTL代码作出不同的解释。

提供时序细节。ASIC供应商需要这些信息执行综合、时序为主导的版图和后版图静态时序分析。精确的系统时钟信息和I/O系统时序预算,以及FPGA综合脚本上的任何错误/多循环路径信息都有助于加快版图设计速度。

尽早与ASIC提供商讨论可测性设计要求。虽然测试电路不需要纳入FPGA原型中,但ASIC中不可测试的电路将降低器件的故障覆盖率,并有可能使故障元件通过测试仪器的测试。一些ASIC供应商在NRE中包含了测试插入和自动测试程序产生费用;但仍可能要求专门的功能复用性测试引脚和额外的测试电路。

增加复位和初始化逻辑。即使FPGA不需要,但增加复位和初始化逻辑能使在完整原型上使用的测试向量产生与仿真相同的结果。

尽早决定封装类型和引脚输出。结构化ASIC供应商可以提供各种完全满足设计要求、可以节省成本和电路板面积的封装,但封装选择对FPGA来说是有限制的。如果要求与FPGA原型保持引脚兼容,那么应该尽早与ASIC供应商讨论引脚输出和封装选择以满足设计要求。

 

 

图:对于结构化ASIC和FPGA协作开发项目,提前规划很重要。

避免

使用与ASIC不匹配的原型FPGA RAM功能。虽然ASIC RAM通常是高度可配置的,不同的RAM容量不是问题,但要确保整个RAM容量和实例数量的可用性。异步访问和不对称地读写具有不同字宽的端口可能无法实现,或要求增加额外的辅助电路和逻辑。

选择ASIC产品中不能提供的FPGA I/O。因此需要检查ASIC库并寻求匹配性。这样可以避免在原型板上插入ASIC时发生奇怪的问题。

使用FPGA供应商提供的私有知识产权。要坚持使用有信誉的供应商提供的很容易用于FPGA和ASIC的可综合IP。当使用诸如锁相环等模拟IP时,要确保目标ASIC供应商可以匹配设计所要求的频率产生或降斜率要求。

使用长的互连线。虽然后端工具通过紧邻放置互连电路可以很好地减少互连延时,但结构化ASIC中的RAM位置是固定的,连接裸模顶部的RAM和固定在底部与I/O相关的电路将增加延时。如果有任何重要的时序接口,应避免会导致版图设计后出现长互连的引脚输出和RAM指配。

使用异步逻辑,如果可能的话。你可能无法在结构化ASIC中再生FPGA异步逻辑路径。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

在FPGA上实现AXI总线与DDR3 SDRAM的读写通常涉及几个关键步骤,包括配置DDR3控制器、编写AXI接口逻辑以及编写测试程序或主应用以读写DDR3内存。下面我将提供一个简化的概述和示例代码框架,但请注意,具体的...

关键字: FPGA DDR3

FPGA(现场可编程门阵列)中的一段式状态机(也称为简单状态机或单进程状态机)通常用于描述具有有限数量状态的系统行为。这种状态机通常包括一个状态寄存器、一个输入信号、一个输出信号以及用于状态转换的逻辑。

关键字: FPGA 一段式状态机

随机数是专门的随机试验的结果,产生随机数有多种不同的方法。这些方法被称为随机数生成器。随机数最重要的特性是它在产生时后面的那个数与前面的那个数毫无关系。随机数分为三类,分别是伪随机数、密码学安全的伪随机数以及真随机数。

关键字: FPGA 伪随机数发生

随着信息化时代的到来,信息安全已成为人们关注的焦点。密码杂凑算法作为信息安全领域的重要工具,在数据完整性校验、数字签名等方面发挥着至关重要的作用。SM3杂凑算法作为我国自主研发的密码杂凑算法,具有较高的安全性和性能,在保...

关键字: SM3杂凑算法 ASIC设计

随着信息技术的飞速发展,数据安全性已成为人们关注的焦点。SM4算法作为我国自主研发的分组密码算法,在金融、物联网等关键领域得到了广泛应用。CBC(Cipher Block Chaining)模式作为SM4算法的一种常见工...

关键字: SM4算法 ASIC

随着现代电子技术的飞速发展,现场可编程门阵列(FPGA)因其高度的灵活性和可重配置性,在多个领域得到了广泛应用。其中,Flash型FPGA以其独特的数据存储方式,在保持高集成度的同时,提供了更为稳定的性能。然而,Flas...

关键字: FPGA Flash

脉冲神经网络(Spiking Neural Network, SNN)是一种模拟生物神经系统处理信息的计算模型,通过模拟神经元之间的脉冲传递和处理过程,展现出强大的学习和识别能力。随着人工智能技术的不断发展,SNN因其独...

关键字: FPGA 脉冲神经网络模型

在数字电路设计和嵌入式系统开发的领域,FPGA(现场可编程门阵列)因其高度的灵活性和可重构性而备受青睐。然而,FPGA开发的复杂性也带来了测试上的挑战。本文将探讨面向FPGA芯片开发的测试方法设计与实现,并附带相关代码示...

关键字: FPGA 数字电路设计 嵌入式系统

在现代电子系统中,FPGA(现场可编程门阵列)由于其高度的灵活性和可重配置性,被广泛应用于各种复杂系统中。然而,FPGA的正确配置和加载是其正常工作的基础。因此,设计一种高效、可靠的FPGA配置加载管理电路显得尤为重要。...

关键字: FPGA 电路管理

随着集成电路技术的飞速发展,片上系统(SoC)的复杂性和集成度不断提高,传统的总线通信结构已难以满足高性能、低功耗的通信需求。片上网络(NoC)作为一种新兴的通信架构,以其高带宽、低延迟、可扩展性强等优点,成为解决SoC...

关键字: 片上系统 SoC FPGA
关闭
关闭